VHDL:Examples of Finite State Machines(FSMs)Counters and pattern generators

Chapter 6 Examples of finite State machines (FSMS) Counters and pattern generators VHDL 6. examples of FSM ver. 8a
Chapter 6 Examples of Finite State Machines (FSMs) Counters and pattern generators VHDL 6. examples of FSM ver.8a 1

Counters and pattern generators Up/down counters: generate a sequence of gradually increasing or decreasing counting patterns according to the clock and inputs (E.g. digital clock, 1, 2, 3, 4 Pattern generators: generate any patterns of finite states. Use state diagrams to design. ( E.g traffic light, red, green, yellow. VHDL 6. examples of FSM ver. 8a
Counters and pattern generators • Up/down counters: generate a sequence of gradually increasing or decreasing counting patterns according to the clock and inputs. (E.g. digital clock, 1,2,3,4..) • Pattern generators: generate any patterns of finite states. Use state diagrams to design. (E.g. traffic light,red,green, yellow..) VHDL 6. examples of FSM ver.8a 2

Up/down counters are FSms Asyn. clock -more delay among outputs, less logic the output of one state register is the clock of another state register Syn clock -less delay among outputs more logic all clock inputs of state registers (flip-lops are connected Examples here are all Moore machines (output depends on state registers. VHDL 6. examples of FSM ver. 8a
Up/down counters are FSMs • Asyn.clock -more delay among outputs, less logic – the output of one state register is the clock of another state register. • Syn. clock -less delay among outputs, more logic – all clock inputs of state registers (flip-lops) are connected. • Examples here are all Moore machines (output depends on state registers.) VHDL 6. examples of FSM ver.8a 3

Two design methods Asynchronous clock design Easier to design More delay at outputs Synchronous clock design More complex Less time delay at outputs VHDL 6. examples of FSM ver. 8a
Two design methods • Asynchronous clock design – Easier to design – More delay at outputs • Synchronous clock design – More complex – Less time delay at outputs VHDL 6. examples of FSM ver.8a 4

4-bit asynchronous clock down counter(Moore) CLK in STD logic: RESET in STD logiC: CoUNTO, CoUNT1, CoUNT2, COUNT3: inout STD LOGIC; architecture Behavioral of asyn_counter is_ Asynchronous clocks process(reset, clk, counfo, count1, count2)begin if reset= 1' then Each line is countO<=0 count1<=0 an Flip-Flop count2<0 count3<=0 else if(rising_edge(clk ))then counto not counto end if if(rising_edge(counto))then count1 < not count1 ena if(rising_edge(count1 ))then count2< not count2 end if eage( coun nt2)then count3<= not count3 if nd if. end process A 4-BIT DOWN COUNTER end behavioral VHDL 6. examples of FSM ver. 8a
4-bit Asynchronous clock down counter (Moore) CLK: in STD_LOGIC; RESET: in STD_LOGIC; COUNT0, COUNT1 , COUNT2 , COUNT3 : inout STD_LOGIC; VHDL 6. examples of FSM ver.8a 5 Each line is an Flip-Flop Asynchronous clocks A 4-bit down counter

library IEEE; -(vivado 2014 34 ok) use IEEE std logic_1164.all; entity asyn_ counter is port( clk: in std logic reset: in std logic counto, count1, count2, count3: inout std logic); end asyn counter; architecture Behavioral of asyn counter is process(reset, clk, counto, count1, count2 )begin if reset =1 then counto<=0: count1<=0 count2<=0; count3<= 0 else if(rising_edge(clk) then counto < not counto; d if if(rising_edge(counto)) then count1 < not count 1 nd if; if(rising_edge(count 1 )) then count2<= not count 2: end if: if(rising_edge count2 ) then count3<= not count3: end if: end if: end process; end behavioral: VHDL 6. examples of FSM ver. 8a
• library IEEE; --(vivado 2014.34 ok) • use IEEE.std_logic_1164.all; • entity asyn_counter is • port( clk: in std_logic; • reset: in std_logic; • count0,count1, count2, count3: inout std_logic); • end asyn_counter; • architecture Behavioral of asyn_counter is • begin • process(reset, clk, count0, count1, count2) begin • if reset ='1' then • count0<= '0'; count1<= '0'; • count2<= '0'; count3<= '0'; • else • if(rising_edge(clk)) then count0 <= not count0; • end if; • if(rising_edge(count0)) then count1 <= not count1; • end if; • if(rising_edge(count1)) then count2<= not count2; • end if; • if(rising_edge(count2)) then count3<= not count3; • end if; • end if; • end process; • end Behavioral; VHDL 6. examples of FSM ver.8a 6

Exercise on 6.1, 4-bit Asyn Student ID Clock Counter plot count and Name Date: check delay (Submit this at the end of the lecture Write the port declaration Plot Q(1),Q(2),Q 3 including delays Count(o) Count(1) Count(2) Count(3) D(2 D(3) FF 少FF 少FF PFF cloc k ck'to(0)ck" Q(ck" TQ(2)ck"TQ(3) δt= time delay at one ff reset k CIoc Q(0)-t Q(1) Q(2) VHDL 6. examples of FSM ver. 8a
Exercise on 6.1, 4-bit Asyn. Clock Counter. Plot count, and check delay • Write the port declaration. • Plot Q(1),Q(2),Q(3) including delays VHDL 6. examples of FSM ver.8a 7 FF FF FF FF clock Count(0) Count(1) Count(2) Count(3) reset clock Q(0) Q(1) Q(2) Q(3) t= time delay at one FF ck Q(0) ck Q(1)ck Q(2) ck Q(3) D(0) D(1) D(2) D(3) Student ID: __________________ Name: ______________________ Date:_______________ (Submit this at the end of the lecture.) t

Simulation result 5us 10us 15us 唱 threet ouro count1 品 count2 COunt3 VHDL 6. examples of FSM ver. 8a
Simulation result • VHDL 6. examples of FSM ver.8a 8

Synchronous clock counter design More difficult to design Less delay at outputs( more precise VHDL 6. examples of FSM ver. 8a
Synchronous clock counter design • More difficult to design • Less delay at outputs (more precise) VHDL 6. examples of FSM ver.8a 9

4-bit synchronous counter More complicated than asynchronous design fromhttp://web.cs.munca/paul/cs3724/material/web/notes/img191.png F Clock VHDL 6. examples of FSM ver. 8a
4-bit synchronous counter • More complicated than asynchronous design • from http://web.cs.mun.ca/~paul/cs3724/material/web/notes/img191.png VHDL 6. examples of FSM ver.8a 10
按次数下载不扣除下载券;
注册用户24小时内重复下载只扣除一次;
顺序:VIP每日次数-->可用次数-->下载券;
- 山东大学:《DSP原理与应用》课程教学资源(PPT课件讲稿)第9章 C55x的硬件扩展.ppt
- 某高校通信工程专业各学科课程教学大纲汇编(合集).pdf
- 《通讯原理》课程教学资源(PPT课件讲稿)第十章 光纤中的非线性效应.ppt
- 《模拟电子技术》课程教学资源(PPT课件讲稿)第四章 集成运算放大器.ppt
- 电子产品的装接技术(PPT讲稿).ppt
- 《数字信号处理》课程教学资源(PPT课件讲稿)第一章 时域离散信号与系统.pps
- 贵州电子信息职业技术学院:《电工与电子技术基础》课程教学资源(PPT课件)第6章 三相异步电动机及控制电路.ppt
- 《光纤通信技术》课程教学资源(PPT课件讲稿)第4章 数字光纤通信系统.ppt
- 无线应用协议WAP(PPT课件讲稿)Wireless Application Protocol.ppt
- 话音在光纤通信系统中的传输(PPT讲稿)话音在数字基带系统中的传输.ppt
- 山东大学:《DSP原理与应用》课程教学资源(PPT课件讲稿,TMS320C54x)第6章 TMS320C54x软件开发环境CCS.pptx
- 《模拟电子技术》课程教学资源(PPT课件讲稿)09 信号处理与信号产生电路.ppt
- 《无线电装接工(中级)实训与考级》教材资源(PPT讲稿)项目七典型无线电产品的装接与调试.ppt
- 《数字电子技术基础》课程教学资源(PPT课件讲稿)第三章 逻辑门电路.ppt
- 《通信原理》课程教学资源(PPT课件讲稿)第3章 信道与噪声.ppt
- 电子科技大学:《现代印制电路原理和工艺》课程教学资源(PPT课件讲稿)第17章 印制板质量与标准.ppt
- 福建师范大学:《短距离无线通信技术》课程教学资源(PPT课件讲稿)第1章 无线传感器网络概述.ppt
- 《数字电子技术》课程电子教案(PPT教学课件)第6章 脉冲信号的产生与整形.ppt
- 电子科技大学:《现代印制电路原理和工艺》课程教学资源(PPT课件讲稿)第4章 照相制版技术.ppt
- 《数字电路与逻辑设计》课程教学资源(PPT课件讲稿)第四章 时序逻辑电路.ppt
- 《微波技术基础》课程PPT教学课件(讲稿)复习要求.ppt
- 《电路原理》课程教学资源(PPT课件讲稿)第4章 PCB设计基础(PPT课件讲稿).ppt
- 山东大学:《DSP原理与应用》课程教学资源(PPT课件讲稿)第5章 C54x高级C语言程序设计.pptx
- 《数字信号处理简明教程》课程教学资源(PPT课件讲稿)第七章 FIR数字滤波器设计.pptx
- 《现代编码理论与技术》课程教学资源(PPT讲稿)第五章 循环码.ppt
- 天津工业大学:《电子技术基础》全国统考硕士入学考试业务课程大纲(2018新修订).doc
- 《电子工艺基础》课程教学资源(PPT课件讲稿)第三章 计算机常用电子元器件检测.ppt
- 沈阳理工大学:《移动互联网技术》课程教学资源(PPT课件讲稿)第1章 蜂窝移动通信技术.ppt
- 电子科技大学:《现代印制电路原理和工艺》课程教学资源(PPT课件讲稿)化学镀与电镀技术.ppt
- 贵州电子信息职业技术学院:《电工与电子技术基础》课程教学资源(PPT课件)第3章 正弦交流电路.ppt
- 触发系统的系统联调和宇宙线实验(PPT课件讲稿).ppt
- 直流电路分析程序(DCAP 2.11,习题).ppt
- HiQuadLoc(PPT讲稿)An RSS-Based Indoor Localization System for High-Speed Quadrotors.pptx
- 复旦大学:《模拟电路实验》课程教学资源(电子教案).pptx
- 学习与编码、改变和量子化.ppt
- 《信息论与编码》课程教学资源(PPT课件讲稿)第4章 信息率失真函数.ppt
- 《数字逻辑电路》课程教学资源(PPT课件讲稿)第5章 触发器.ppt
- 《电工电子技术》课程教学资源(PPT课件讲稿)第11章 数据的存储、采集与转换.ppt
- 《数字电子技术》课程教学资源(PPT课件讲稿)第五章 时序逻辑电路.ppt
- 《传感器技术》课程教学资源(PPT课件讲稿)第3章 电感式传感器.ppt